Category Archives: General

Items that do not fit in other categories

Semicon Again

I was at Semicon West yesterday, back again for the first time in 15 years.  I have mixed feelings about it.

Semicon West, held each year in San Francisco, is the biggest of the Semicon trade shows, the main source of revenue for the semiconductor equipment and materials supplier group SEMI (http://www.semi.org/).  I remember well my first visit to a SEMI show, Semicon East in Boston about 1985.  That was when the 128 corridor of Boston was thought to rival Silicon Valley (a vain hope at best) and the growing semiconductor industry was still young.  I was young too, and inexperienced, and the Semicon show opened up a world of information and opportunity for me.  I had much to learn.  I also remember exhibiting at Semicon Southwest in Dallas in 1990, a small booth for my even smaller software startup FINLE Technologies.  Through the 1990s I attended Semicon Japan many times, but managed to avoid going to Semicon West (a privilege of being the boss – I sent someone else).

Over time the Semicon shows grew in size and simultaneously became less important.  At its peak (about 2000), the Semicon West show drew 60,000 people.  But even then the relevance of this kind of trade show was declining.  We longer need to roam the aisles of a massive exhibit floor to find out about suppliers and what they have to offer.  We do that with Google now.  The Semicon East and Southwest shows faded away, leaving only West and its foreign counterparts.

Around this time I finally started attending Semicon West – I now had a boss after selling my company to KLA-Tencor, so it was my turn to go.  “Booth duty” was a dirty word at KLA-Tencor, and I presume at most other companies as well.  The only people that came by the booth were competitors, people looking for jobs, and the curious neighboring exhibitors.  Customer meetings were the only reason most of us came, and those took place off the floor.

And then it happened.  My memory is a bit vague, but I think the year was 2001 or 2002 and I think the company was Novellus.  They had a contract for a giant amount of space on the Semicon floor, but they didn’t installing a massive booth with mock-ups of their equipment.  They didn’t send a small army of marketing managers and temp employees (known as “booth babes” in those politically incorrect days).  Instead, Novellus installed a skeletal structure (it looked a bit like a cage) and hung gauzy cloth from the beams.  They installed some monitors that looped marketing presentations.  And they left it completely empty.  Not an employee showed up, and the scene was ghostly.  The message was clear – the trade show was no longer relevant.

Since then, most of the other big suppliers have left as well (Applied Materials, ASML, Lam, KLA-Tencor).  Many of them established off-site events like breakfast forums and technical programs.  The attendance at Semicon West is still large, but only half of its peak.  It’s a trade show for the second tier of semiconductor equipment manufacturers, as well as for the very large number of small suppliers to the suppliers.  SEMI has responded by adding more and more technical programs of their own, and expanding into solar and other related fields.

All the while I avoided coming here (after I returned to my boss-less lifestyle in 2005).  SEMI invited me many times to participate, but I always declined.  Finally, I decided it was time to give the show another chance, and I agreed to moderate Tuesday’s technical session on lithography.  How bad could it be?

Well, it can’t be very bad when you have a good group of speakers.  Lucian Shifren of ARM reminded us that scaling isn’t just about lithography, it impacts the device and the design as well.  He asked what should be an obvious question:  “Because you can make something smaller, should you make it smaller?”  From a lithography perspective, we shrink to get an area benefit.  But we never quite get all the area benefit that we expect.  A 0.7X shrink should give us a 0.5X area reduction, but it rarely does.  Going to restricted design rules causes the area to grow, as does the increase in parasitics and variability that come with shrinking.  If we do go to EUV, stochastic variability will consume even more of the shrink.  While the cost of designing a chip at each new node dramatically increases ($150M for a 10-nm design), the benefits that come from the new node go down.  Shifren predicted that only 5 companies will design chips at the 10-nm node.  Is 28-nm the last good node?

After the ARM talk, we had four of the more traditional supplier talks.  Nikon was represented by Steve Renwick, who described a future of “all of the above lithography”, meaning that we will no longer have one lithography approach that everyone uses for every type of product.  193 immersion will not go away, but it may be supplemented by other approaches such as EUV or DSA.  Ben Rathsack of Tokyo Electron America reiterated that point.  What I found most interesting from his talk was the brief mention of using spacers in a multiple patterning process to create a kind of self-aligned via with significantly improved tolerance to overlay errors.  I think such kinds of innovative ideas are going to be required in a world where variation is a much bigger percentage of the mean.

Mike Lercel gave the ASML talk, where of course everyone was interested in hearing an update on EUV progress.  He said that multiple 125W sources were currently being installed and tested at customer sites.  It is too early to have any availability data on these sources, and experience suggests that availability will ramp slowly.  But that means that 2016 really will be the year when we have “100W by the end of the year”, a prediction first made by Cymer and ASML for 2007 (http://life.lithoguru.com/?p=409).  Chris Lyons of JSR focused on resists for EUV, where he claimed that resolution is not a problem, but we still have a ways to go on the dose/LER trade-off.  Finally, Harry Levinson of GlobalFoundries talked about the readiness of EUV.  He described 2015 as a breakthrough year since, for the first time, a fab could print enough EUV wafers to start process learning.  He suggested that “EUV deserves serious consideration for the 7-nm node.”  Interestingly, he showed a chart of throughput versus EUV source power that had the throughput lower by about a factor of two compared to what ASML typically shows.  Throughput calculations require many assumptions that mostly remain unstated in these kinds of presentations.  Obviously, ASML’s assumptions are much more optimistic that GlobalFoundries’.  I think I trust GlobalFoundries’ assumptions more.

So, in all, the technical talks were good, and I am glad that I attended.  Still, I don’t think Semicon West is for me.  I have no desire to go to the exhibit floor, and I’d rather meet up with lithography colleagues (including sales and marketing folks) at a technical meeting rather than a trade show.  Obviously 30,000 people think the show adds some value to them, it just doesn’t for me.

God is Liquid

My neighbors have been remodeling their house for what seems like forever.  For the last year or so I have noticed the same truck parked in front – a red lowrider with a Virgin Mary statue on the dash, a cross hanging from the rearview mirror, and number of inspirational messages printed on cards stuck in the front of the windshield.  Walking past the other day I noticed a large one, printed in Gothic script.

Christ is the Solid

Rather than consider the possibility of a poor translation from a Spanish phrase, I started taking the message seriously.  Suppose Christ is the solid.  What about the other states of matter?  Of course, the Holy Ghost must be a gas.  Surely, plasma (fire) is Satan.  That leaves one left:  God must be liquid.

So there you have it, a proof based on a very reasonable premise, using mostly unassailable logical principles, worthy of any medieval scholar.  Now to work out the theological ramifications of a god that conforms to its container.

SPIE Advanced Lithography Symposium 2016 – day 3

On Wednesday morning I again went to see resist talks, but this time in the EUV conference (which is more than a little confusing, but I’m glad I don’t have to work out the details of which paper goes in which conference).  Anna Lio of Intel gave a very nice talk entitled “EUV Resists: What’s Next?”  At the beginning of her talk she repeated the ASML marketing line about the HVM introduction of EUV:  “It’s a matter of when, not if.”  But that statement misses the whole point.  When is a matter of if.  If EUV continues to be delayed, it will very quickly reach the point of not being viable commercially.

That nit aside, it was a great pleasure to here Intel so emphatically promote a stochastic world view when it comes to EUV resists and their performance.  Here is some of what she said:

“Think stochastics first.”

“We need new ideas and new resist platforms for stochastics.”

“Stochastics will rule the world.”

She said that not significantly improving over today’s performance of stochastic-driven local CDU, local edge placement, and roughness is a “deal breaker” for EUV.

I only hope that the audience really listened and absorbed this message.  She had a tone of frustration in her talk that the industry has not taken these ideas sufficiently seriously (I empathize – I only wish that Intel and other EUV customers had preached that message ten years ago).  A reason for that frustration could be found in the next paper where SEMATECH provided historical data of EUV resist performance on a combined metric of resolution, sensitivity, and LER showing that there has been basically no improvement since 2012.  This is not good.

My frustration was extended to the next talk, where I heard again from Japan’s EIDEC (EUVL Infrastructure Development Center) on their “metal resist”.  First, they refuse to say what metal is in their resist.  This knowledge is absolutely necessary, in my opinion, before deciding to take this resist seriously.  Also, they have repeatedly claimed that their resist has both high sensitivity and low LER, but all of their results show either high sensitivity or low LER, but never both.  There is disconnect between their marketing and their data.

The next set of authors, from TOK, had no need to read my post from yesterday where I explained that high resist contrast is a necessary condition for reaching the lowest possible LER at a given dose and feature size.  Their paper was all about how to combine stochastic thinking with conventional resist thinking about high contrast.  I hope the new resist developers were listening to this veteran company.

Togawa-san of Osaka University talked about acid amplifiers and how they might be able to reduce the effects of stochastic variation.  He finally gave an explanation for how acid amplifiers might achieve this that makes sense.  Acid amplifiers essentially multiply the acid concentration by some factor, allowing a lower exposure dose.  Since the acid amplifier achieves higher acid levels, more quencher can be added to the resist formulation (which otherwise would have an unacceptable impact on sensitivity).  The higher quencher levels lead to greater gradients of deprotection levels (or effective acid levels).  But these acid amplifiers can, at best, act like a normal amplifier:  amplifying the noise as well as the signal, plus adding its own noise source as well.  Thus, the relative acid uncertain will go up.  The real question is whether the higher chemical gradient can compensate for the higher acid uncertainty.  The experimental data is ambiguous.  Looks like a place where rigorous modeling could help.

My final comment on the EUV resist talks is about Roberta Fallica of the Paul Scherrer Institut.  This was his first talk at SPIE, and it was a fantastic one.  Not only did he show very good measurements of resist absorption at the EUV wavelength (a difficult thing to do) compared to calculated values, but he proposed a novel way of interpreting their importance.  He described the inverse of the absorption coefficient-dose to clear product as the volume of resist cleared by one absorbed photon.  I’m still trying to wrap my brain around that idea, but it is definitely worth thinking about.

Outside of the resist world, I enjoyed a talk by Andrew Burbine, an RIT student working with Mentor Graphics.  He discussed and implemented the idea of using Bayesian statistics to improve OPC model calibration.  It gave an excellent tutorial on the idea, and provided an initial validation of its value.  This looks like an idea worth pursuing.

In the afternoon Juan de Pablo of the University of Chicago gave an excellent invited talk on directed self-assembly (DSA) modeling.  An while there was a time conflict, I caught half of an invited talk by David Pan (my University of Texas colleague) on how shrinking standard cells makes accessing them (through connections called pins) increasingly difficult.  Thus, we often don’t get the area size benefit from the shrink that we expected.

My favorite quote of the day (heard at a hospitality suite):  “When you take a picture without light, don’t blame the film.” – John Biafore.

On a different topic, someone attending this meeting for the first time asked me why there were so few women here.  This is not a new comment.  While those of us who have worked in the industry and attended this meeting for many years may be used to it, from an outsider’s perspective the lack of gender diversity at the Advanced Lithography Symposium can be jarring.  It is pervasive, from the conference leadership and ranks of SPIE fellows to the speakers and attendees.  It is also true at other lithography meetings that I attend, and I think in the semiconductor workplace as well.  What is it about lithography and the semiconductor industry that attracts so few women?

Finally, since the topic keeps coming up, let me say this:  my Lotus is safe and secure in my garage.  Vivek Bakshi and I resolved our bet on EUV lithography last year, and you can read about it here.

Running: I can still do it

Ten years ago I took up running as a sport, and found that I really liked it.  I ran two marathons, seven half marathons, and some 10Ks.  All was good; I was meeting my goals and improving my times, until I hurt my knee.  I had cartilage repair surgery, just before a major study showed that these surgeries worked no better than physical therapy alone.  Ah well.  That was five years ago, and I had several abortive attempts to start running again, always followed quickly by a re-injury of that knee.  Finally, a slow and deliberate recovery coupled with weight training of the muscles around the knee allowed a comeback.  This week I ran my first race in five years – the 3M Half Marathon.

I wasn’t sure what to expect.  My goal was to beat 2 hours, so I chose a pace just fast enough to make that time and very carefully stuck to that pace through the whole race.  I kept waiting to poop out, but the miles went by and I never did.  My last two miles were my fastest, and I finished the race at 1:58:35.  That’s only 4 minutes slower than my most recent 3M half of five years ago – an acceptable age-related slowdown!

Incidentally, I ran a 10K five years ago with the goal of running it in my age in minutes, something I accomplished to within three seconds.  For this week’s half marathon I ran two 10Ks back to back, and the second one had a time of 55:11.  That’s 30 seconds faster than my age!  I’m back.

Where’s My Flying Car?

Last Wednesday, Oct. 21, was Back to the Future Day.  I know this because I was invited to a Back to the Future party, where we watched the 1989 Micheal J. Fox movie Back to the Future Part 2.  It is the second of the movie trilogy and in it our heroes travel to the distance date of 10-21-2015.  The future (now three days in the past) is predicted to have clean streets (fairly true), ubiquitous television screens (very true), terrible fashion (absolutely true), and flying cars (not so true).

Predictions of flying cars are not restricted to the campy side of science fiction.  The marvelous Ridely Scott classic Blade Runner (1982) shows overcrowded cities, life-like androids, and flying cars.  It is set in 2019.  Will the next four years bring us flying cars, as well as androids indistinguishable from humans?

At least Star Trek had the wisdom to project 50 years into the future rather than 30 or 40. In its 1968 first season, we are introduced to the infamous Khan in the episode “Space Seed”.  Thanks to a Federation historian, we learn in this episode that Warp Drive, with the ability to travel at speeds greater than light, is invented in 2018.

Ah, if only reality could live up to science fiction.  I’d love a flying car. But I’d settle for self-lacing Nikes.

It’s a Global World

We all know we live in a highly interconnected world.  News travels at the speed of the internet, and a huge number of goods and services compete on a global scale.  But who’d have thought that the war in Ukraine would be significantly impacting semiconductor manufacturing, and lithography in particular?

Because of this, I’ve learned far more about neon than I thought I ever would.

Yes, neon, noble gas, element number 10.  70% of neon production comes from Ukraine and Russia (one company, Iceblick, makes 60% of the world’s supply, and all of that goes through its plant in Odessa).  Neon is a byproduct of steel production, but because it is a rare component of the waste gases, it only makes sense to recover it at extremely large steel plants.  This is where Ukraine and Russia come in, since they still operate the old-style massive manufacturing plants that have long since disappeared from Western countries.

But why is neon important in lithography?  Excimer lasers use gases like KrF and ArF to generate light, and those gases are regularly changed out during use.  But a charge of excimer laser gas is actually about 98% neon, a carrier gas that is essential to the laser’s operation.  With the Russian-supported separatists fighting in the Ukraine, supplies have become highly constrained.  The price of neon has increased 6X in the last year, to about $1/liter, but worse yet there are shortages.  And since a fairly large share of the world’s consumption of neon is used for excimer lasers, this has got the excimer companies worried, and their semiconductor customers as well.

What to do?  Gigaphoton has announced a “Neon Gas Rescue Program” to reduce neon consumption for ArF lasers by 50%, and Cymer is working to reduce neon consumption as well.  In the meantime, we wait and hope for a peaceful and speedy resolution to the crisis in Ukraine.  And I’ll never take neon for granted again.

Professor Arnost Reiser, 1920 – 2015

Dr. Arnost Reiser, chemist, photoresist researcher, professor, and Holocaust survivor, died on August 4, 2015 at the age of 95.  Since 1982 a professor at the NYU Polytechnic School of Engineering, Reiser died at the school’s Rogers Hall where he continued to visit regularly even after he stopped teaching.

In the lithography community, Reiser is best known for his development of KTFR (Kodak Thin Film Resist), the first commercially successful photoresist for semiconductor manufacturing.  He is also well known for his studies of Novolak-diazonaphthoquinone resist mechanisms.  Reiser worked at Kodak from 1960 – 1982, then left to start the Institute of Imaging Sciences at Polytechnic University.

I remember devouring his 1989 book Photoreactive Polymers: The Science and Technology of Resists, published at a time when there were far too few serious books on photoresists.  But what really intrigued me about his work was the topic of percolation and how it might be related to photoresist development.  Reiser pioneered this topic, and I have to admit that I am still trying to understand it.

But as remarkable as his professional career was, his personal life was even more inspiring.  A Jew born and raised in Prague, Reiser was sent to a Nazi concentration camp in Czechoslovakia before being sent to Auschwitz.  After the war he earned his degree in chemistry and went on to teach and write a popular Czech textbook, Physical Chemistry.  With his family, he escaped communism in 1960 by jumping from an East German boat off the coast of Denmark and swimming to shore.  He was arrested by the Danes, but released after Niels Bohr interceded on his behalf.

He lived a remarkable life, and I am glad I was able to know him.

Here are a few links with more details of his life story:

http://engineering.nyu.edu/news/2015/08/18/memoriam-arnost-reiser

http://engineering.nyu.edu/cable/issue/fall-2011/news/faculty/arno%C5%A1t-reiser-survivor-%C3%A9migr%C3%A9-author-groundbreaking-chemist

Reiser’s testimony about being sent to a Nazi concentration camp in 1942:

https://www.youtube.com/watch?v=KahKwbYoJnc

A short book on his life published in 2010:

http://vydavatelstvi.vscht.cz/knihy/uid_isbn-978-80-7080-754-5/978-80-7080-754-5_en.pdf