SPIE Advanced Lithography and Patterning Symposium 2023 – day 0

It looks like we are back to normal.  After three years where Covid 19 was on everyone’s minds and tongues (if not yet in the upper respiratory tracts), today more people seem interested in snow on the mountains surrounding San Jose, the massive downtown in the memory business, the nasty weather outside, and who survived the layoffs at company xyz.  Different is the new normal.  It is good to be back!

The conference itself is back to its former vigor.  Compared to 2019, submissions are up (over 450), attendance is about the same (over 2000 seems likely), and the number of exhibitors is about the same (a low 54).  I had 11 people in my all-day short course on Sunday (lower than hoped, but a great group!).  And the reconnecting with friends has already begun. From a technology perspective, what will the week be like?  I have to admit that I am completely clueless.  I haven’t yet dived into the agenda of talks (I miss the printed programs), and only know that I need to be at the plenary program at 8am on Monday.  My one talk is Monday at 2:30pm, and the Fractilia Happy Hour is also Monday, so that day is my current focus (I’ll think about Tuesday on Tuesday).  And so another week at ALP begins…

Pay Attention to the Bark

My dog Minion is a barker.  He barks all the time, so much that I have gotten to know his barks.  There’s the “saw a squirrel” bark, the “UPS man on the front porch and I’m going to kill him” bark, and the “what, you’re leaving the house without me, don’t you know the world is a dangerous place” bark.  So when I was awoken by my dog barking at 5am one Saturday morning, I was not surprised.

What did surprise me was the smell. An awful, putrid odor.  By wife sat bolt upright – “what is that?”  My first thought was a natural gas leak, but that wasn’t quite right.  More like the sewer had backed up, but not quite that either.  I was confused, and not just because I woke up at 5am.  I began walking around the house to find the source, but the smell was everywhere – it couldn’t be localized.  Could it be coming from outside?  I quickly got dressed and went out the front door.  It was even stronger outside.  Then I saw my neighbor out in his front yard.  He said the smell had woken him up and he had called 311.  The fire department was on its way in case it really was a gas leak.

When the fire truck showed up, the first words out of the fireman’s mouth was “that’s a skunk”.  Of course, I had smelled skunk before, but it was always the dead-skunk-in-the-middle-of-the-road variety.  Fresh skunk smells different.  I don’t know how to describe it.  Fresher?  They brought out a gas sniffer just in case, but a few minutes later a flashlight-toting fireman said he had found where the skunk had sprayed the back of my house.  Sure enough, on the windows and wall of my house facing the back yard was a six-foot diameter spray pattern of dime-sized droplets, sticky and yellow.  Did you know that skunk spray was yellow?  Neither did I. 

I learned a lot about skunks that day.  For example, no double-paned window has yet been invented that can block that smell from getting into your house. From Google I found out that tomato juice might be good for use on sprayed humans and dogs, but for walls a bleach mixture was best.  Indoors I boiled vinegar on the stove after opening the back door and windows to air out the house.  By this time my kids were awake and they announced that they were not, under any circumstances, going back into that house until the smell was under control.  My wife took them to Starbucks.

I learned that skunk spray is VERY hard to clean off.  Even today some stains remain on my outside wall.  Nonetheless, after several hours of work, the house started getting closer to normal.  By this time it was late in the morning, and I was exhausted.  I decided to relax up in my office, not coincidentally the farthest point in my house away from the source.  A while later I heard my dog barking, loud and insistent.  This was his “I’m stressed to the max and I will not be ignored” bark.  I went downstairs to check it out, not thinking too much about it.  After all, my dog is a barker.

I found him in the living room, tense, straight as an arrow, his nose pointing under a piece of furniture, barking like mad.  Oh no.  Please, no.  I felt my shoulders stiffen and my throat tightening up.  I slowly lowered my head to be able to see under the armoire and there it was:  a mass of black fur with a white stripe.  When I had left the back door open to air out the house, it never occurred to me that a skunk might wonder in.  Just to be clear, I don’t live in the country.  This is a neighborhood near downtown.  These kinds of things don’t happen in the city, right?  My heart started to race and a minor panic began, but my first worry was that my dog was about to get sprayed.  I grabbed him and ran out the back door, shutting it behind me.  I felt relieved that we had avoid a major catastrophe.

This is when I realized that there was a skunk, loose in my house.  And I was trapped outside.  I couldn’t go back in!  There was a skunk loose in my house!  He could be anywhere, and I certainly didn’t want to be sprayed.  Fortunately, I had my phone in my pocket.  I started dialing.  I told my wife not to bother coming home.  I called animal control – “there’s a skunk, loose in my house,” I said.  They were not very helpful.  Once they determined that no one had been bitten and so there was not a rabies risk, they said they could have someone out there in the next 48 hours.  “But there’s a skunk loose in my house”, I repeated.  They did not seem too concerned.  I was concerned.  I started running down the list of Austin pest removal companies that Google provided, getting one answering machine after another.  I was beginning to get desperate – what was I going to do?  Finally, at the end of the list, Urban Jungle Wildlife Specialists answered their phone.  They could have someone out there in an hour.

When the technician arrived he gave off a strong sense of competence.  He had a uniform, and a truck full of equipment.  He had a cage, with a black cloth to through over it, and a long pole with a loop at the end.  The pole with a loop at the end looked very official.  And he had a gun – a pellet rifle.  He had done this before, though he admitted that it was not common to have a skunk loose in your house.  “Skunks are shy,” he explained.  “If one is in your house, it’s probably sick or dying.”  The risk of a rabid skunk was not small.  The first order of business was finding it.  As expected, he did not stay under the armoire.  But 20 minutes later the technician came out.  The good news was he had found the skunk.  The bad news was the skunk could not be approached and coaxed into the cage.  It had found its way into an unfinished storage space underneath the front stairs, backed tightly into a corner, low under that first stair.  Extraction would not be easy.  It would not go well for the skunk.

Reluctantly I gave permission for the use of deadly force.  I have to admit, by this point in time I did not have much sympathy for that skunk.  It was removed, but not before I paid the price of my decision:  he sprayed inside my house.  And I thought it was bad when had sprayed the outside of my house!  The next few days was a blur of hotel rooms, attempts to get skunk smell out of our kids’ clothes so they could go to school, and me cleaning, gaging, bleaching, gaging, deodorizing, gaging, painting, gaging, rinse, repeat, and finally, after two exhausting days, deciding it was safe for the family to go home.  It has now been 18 months since the great skunk disaster, and getting a whiff of that lingering odor happens only rarely now.  I continue to be aware, though, of my dog’s expanded vocabulary.  I will not soon forget the “there’s a skunk loose in my house” bark.

“There’s a skunk loose in my house!”

SPIE Advanced Lithography and Patterning Symposium 2022 – day 4

The mood at the conference this week can be summed up in one word:  happy.  We were all just happy to be here, with smiles visible everywhere, even under masks.

Thursday morning began with a quite philosophical keynote talk in the metrology session on the role of MI (metrology and inspection) in semiconductor manufacturing by Younghoon Sohn of Samsung.  He touched on broad subjects like the role of sampling (depends on the failure rate), the dilemma between resolution and speed in inspection (and the wide gulf in both between optical and e-beam inspection), and the basic roles of MI (define a process window, identify cause and effect, and process monitoring and control).

A joint session between Optical/EUV and Etch provided several nice papers.  Angelique Raley gave an overview of three techniques being promoted by TEL:  a spin-on SiC underlayer for EUV to prevent pattern collapse, a development process (not really explained) called ESPERT for Inpria resists that also prevents pattern collapse by improving the sidewall profile, and a cryogenic etch for lower LCDU (local critical dimension uniformity) and defectivity.  Roberto Fallica of imec gave a quote that I like (and often say myself), “Stochastics is the major roadblock for EUV Lithography”.  He then talked about a “healing” etch process that reduces contact hole LCDU through an aspect ratio dependent etch rate (high aspect ratio resist patterns etch faster, causing narrow holes to widen, while low aspect ratio resist patterns etch mode slowly, causing wide holes to narrow).  One interesting (and confusing) result was that the dose that provided smallest LCDU was not the dose that gave the lowest defectivity.  Finally, Qinghuang Lin of Lam talked about the application of Lam’s new dry-deposited and dry-developed resist to contact holes (I was not able to catch Rich Wise’s earlier paper on its application to lines and spaces).

Since I left after lunch to catch a plane home, I was not able to see what I’m sure were some good papers on the last afternoon of the symposium.  After a valuable and rewarding week here in San Jose, I was still anxious to get home.  Looking back two years, here is how I ended my Advanced Lithography Diary in February of 2020:

“The week has also seen an escalating concern over the new coronavirus, COVID-19.  Like everyone else I am monitoring developments with morbid fascination, but also to see how it will impact my immediate future.  And it has.  If there is any positive to the spreading fear over the spreading virus, it is that I will soon be traveling far less.  I have started asking customers if we could schedule our meetings, demos, and courses using video conferencing rather than in-person, and they are readily agreeing.  Maybe such accommodations will be a permanent trend, with the significant savings in time and resources that come with less travel (not to mention a better quality of life when I spend more time with my family).  I will look to this thought as a small consolation.”

That prediction proved true.  Like everyone, I have spent much of the last two years living my life on Zoom.  But since my life before the pandemic involved far too much travel, I am grateful for the respite that the pandemic forced upon me.  I am very glad to be back at ALP live and in person, and am glad that I can start visiting customers again (most of them, anyway).  But the much-accelerated use of video meeting technology has permanently changed the way I do business, and I am happy for the family time it will enable.  Like most of us, this pandemic has triggered a reckoning in my life/work balance, and I am happy for the result.

So, for those of you who wanted to but could not come to San Jose this week, I hope to see you next year.  But if not, maybe I’ll see you on Zoom.

SPIE Advanced Lithography and Patterning Symposium 2022 – day 3

In the morning, the optical and EUV session included two very good talks on EUV pellicles.  Mark van de Kerkhof described ASML’s latest material, a composite made of metal silicide crystals (if I got that right) that performs just a little bit better than the prior polysilicon-based stack.  At almost 92% transmission (one pass), it is a few percent better than the previous best and survives up to 400W source power.  Is it good enough to be adopted in manufacturing?  I’m not sure.  The next talk by Lintec described a 95% transmitting carbon nanotube pellicle, quite a promising result.  Their pellicle is making progress but did not seem manufacturing ready, requiring a bit more time to mature.

In the metrology session, my colleagues Gian Lorusso and Mohamed Zidan from imec gave a pair of good talks on the metrology challenges for measuring very thin resists.  (Full disclosure – I was a coauthor on both papers.)  When the as-coated resist thickness reaches 10 nm, line/space patterns have almost no contrast in a SEM, making measurement of CD and LWR extremely difficult.  Lowering the SEM voltage to 300V, and even lower for some materials, improved things.  It looks like 15 or 20 nm thickness and above is manageable with the right SEM measurement conditions.

I was also very impressed by Nearfield Instruments and their high throughput AFM, described by Cornel Bozdog.  Using four AFM heads running in parallel they could measure 64 0.5micronX0.5micron regions per wafer and get a throughput of 12 wafers per hour.  While I’m sure the typical “your results may vary” caveat applies, it is still an order of magnitude faster than I would have expected.

Quite a few students are attending the conferences this year, and I’ve been able to meet some of them.  Seeing the look of these eager young people, drinking from the firehose of information pouring out in each of the sessions, makes me hopeful for the future of our industry.

SPIE Advanced Lithography and Patterning Symposium 2022 – day 2

The first talk of the metrology conference on Tuesday was by Andras Vladac of NIST on a topic I am very interested in – characterizing the non-ideal behavior of scanning electron microscopes.  His presentation style was somewhat unique:  taking the material from what appeared to be a half-day short course and presenting it in 20 minutes.  This is a definitely a talk where viewing and studying it later (thanks to SPIE’s recording) is a must.  The other talks in the SEM session were good as well, but more digestible.

Tuesday was packed with customer meetings for me – a mixed blessing.  I missed many good talks, but got to have facetime with people I had not been able to visit for at least two years.  I managed to catch the end of Erik Hosler’s plenary talk in the afternoon on “The path to a useful quantum computer”.  One of the more interesting insights was his need to use state-of-the-art immersion lithography for the fabrication of his devices, not for the resolution but for the precision of the manufacturing.  For an optical device, feature sizes are in the hundreds of nanometers or microns.  But quantum optical devices require on the order of 1 nm line-edge roughness from those features, which definitely pushes state-of-the-art capabilities and makes fabrication quite challenging.

SPIE Advanced Lithography and Patterning Symposium 2022 – day 1

As always, the opening of the symposium began with some awards.  Our community’s biggest and most prestigious is the Frits Zernike Award for Microlithography, and it was wonderful to see Harry Levinson receive this year’s honor.  (Full disclosure – I’m on the award selection committee.)  Additionally, since last year’s award ceremony was virtual, Bruce Smith was giving his 2021 Frits Zernike Award for Microlithography as well.  Congratulations to them both!

Four presentations of SPIE fellow were made next:  Nelson Felix of IBM, Kevin Lucas of Synopsys, Uzodinma Okoroanyanwu of the University of Massachusetts, and Tatyana Sizyuk of Argonne National Laboratory.  It’s a shame that Kevin and Uzo could not be here this week.

We next heard two of the three plenary talks (Eric Hosler’s talk on quantum computers will be given on Tuesday.)  The first talk was by Luc Van den hove, President and CEO of imec.

A quick digression.  We have had over the years a number of plenary talks given by various industry executives covering topics of interest to our community such as compute scaling, artificial intelligence, the automotive industry, progress in GPUs, etc.  My biggest fear for these kinds of speakers is getting what I call the “kid on a skateboard” talk.  The executive, giving the same talk they might give at an investor conference, says things like “Technology A is very important” while showing a kid on a skateboard, “Our company is ahead on technology B” then shows a family playing with a dog, etc.  Very slick, and devoid of useful content.  So when a CEO is asked to give a talk on a Wall Street-friendly topic such as “The endless progress of Moore’s Law”, I usually get worried.

But Luc Van den hove is not your typical CEO.  He is a lithographer deep in his bones.  He published his first SPIE paper in 1990, and was chairman of the Optical Microlithography conference in 1998 and 1999.  He knows what he is talking about, and cares deeply about this community.  So when the first few slides in his talk were of the “kid on a skateboard” variety, I was not worried.  He soon got into the technical meat of the topic, and we were all rewarded for our patience.  Taking the broad view of what Moore’s Law means that is typical of today, he described four general areas that will keep progress in semiconductors moving for quite some time (though not the hyperbolic “endless”):  Shrinking the transistor, improving the transistor, moving into the third dimension, and shifting compute paradigms.  I suspect that he is correct on all counts.

The second plenary by H.S. Philip Wong of Stanford went into considerably more detail on two of Luc’s topics, system-level optimization and 3D integration.  Dr. Wong is an expert on these topics and I learned quite a bit.  He would have been better off, however, if he had not tried to force lithography relevance into his talk through his provocative title and subsequent discussion of EUV lithography throughput (Tony Yen – you were a bad influence!).

For the rest of the day I alternated between the metrology conference and the two keynote talks at the Optical and EUV Nanolithography conference.  Nelson Felix gave a nice review of metrology needs for nanosheet transistors, though I was very surprised when he showed that 1/3 of all the process steps in IBM’s latest generation process were metrology steps, and that this hasn’t changed since the 45 nm node.  There is no doubt that IBM does more metrology than your typical fab.  Mark Phillips of Intel gave a very optimistic view of when high-NA EUV lithography could be inserted into manufacturing, beating by a year the roadmap shown by Luc Van den hove (which, coming from imec, could also be assumed to be optimistic).  It sounds to me that Intel is tired of being behind in EUV and is hoping that high-NA EUV will give them a chance to leapfrog ahead.

I ended the day with a Fractilia hospitality event at a favorite San Jose brew pub.  Thanks to all who joined us!

SPIE Advanced Lithography and Patterning Symposium 2022 – day 0

“The SPIE Advanced Lithography conference begins with one word on everyone’s mind:  coronavirus.”  These are the first words I used in my blog post two years ago, just as the SPIE Advanced Lithography Symposium of 2020 was about to begin on February 23.  I had no way of knowing that within three weeks pretty much the whole country would start locking down.  That 2020 conference went off without any known coronavirus transmissions, thank goodness, and two+ years later I think that first sentence applies equally well today.  Last year’s symposium was virtual, and this year’s has been postponed two months, just long enough to allow the Omicron variant to fad and for most of us to gather with more confidence.

It’s good to be live and in-person!  I’ve already seen on Sunday several folks that I have only seen on Zoom for the last two years, and it is a great pleasure!  The conference is promising to be a good one, effected though it is by the lingering impact of the pandemic.  Virtually no one from Taiwan, Korea, or Japan has been able to attend, and participation from Europe is down significantly.  Still, registration currently sits at 1,300 (as opposed to the pre-pandemic average of about 2,000), which is better than I was expecting.  There were 390 paper submissions this year (in 2020, the number was about 500) and I’m hoping for a very good program.

There have been a couple of major changes in the symposium this year.  The EUV and Optical Lithography conferences have merged (now called Optical and EUV Nanolithography), reflecting the continued mainstreaming of EUV lithography out of development and into semiconductor manufacturing.  The topic of computational lithography, formerly homed in the Optical Lithography conference, now resides in the refocused DTCO and Computational Patterning conference.  These are both good changes, and I look forward to seeing how they play out this week.

Does a mirror invert an image left-to-right?

A recent query from a friend reminded me that some topics are both very easy and very hard to grasp at the same time. The key is often in the way we look at the it. He asked “Why does a mirror NOT invert images top-to-bottom?”  Or better yet, why DOES a mirror invert images left-to-right? I used to ask this question to my undergraduate students in my Modern Optics class at UT when teaching them basic imaging equations. None of them ever answered the question correctly. But the answer, it turns out, is simple.   Mirrors don’t invert anything.  You do, and not with your brain.

Try this experiment.  Go up to a mirror with an open book in your hand.  Look at the printing – obviously it is perfectly readable.  Now turn the book to face the mirror – you see that all of the writing in the mirror is inverted, going from right to left instead of left to right.  What caused that?  You did, when you TURNED the book.  Don’t believe me?  Repeat the experiment with an overhead transparency (yes, I am old enough to know what those are).  Write a word on the overhead transparency and hold it up to the mirror.  It is not inverted, when looking at it either directly or in the mirror.  When you turn the transparency to face the mirror, the word is inverted when viewed both directly and in the mirror.

When you look at yourself in the mirror and wave your right hand, it looks like your mirror image is waving their left hand. But that is because we imagine ourselves turned around and facing the other way. Again, it the turning (or imaging that we turned) that does the inverting, not the mirror.

Mystery solved.

SPIE Advanced Lithography Symposium 2021 – day 5

One advantage of the all-online format of this year’s symposium is that the conference can be stretched from the normal four days to five without significant cost impact.  This means that several ‘live” events were spread out through Friday, including several very good keynote talks and a second tutorial talk.  Jara Garcia Santaclara of ASML spoke on resist development for high-NA EUV lithography.  (Jara has what I think is the world’s best job title:  EUV Resist & Processing Architect.  I love it!)  One of the biggest concerns for high-NA EUV imaging is the need for a much thinner resist (20 nm, maybe less), with numerous consequences stemming from that fact.  Metal-containing resists are the leading candidates here, since their higher absorption enables thinner resist films.  This nice overview talk led well into the second Patterning Materials keynote by Rich Wise of Lam Research.  A year ago, Rich introduced a new resist offering by Lam based on a dry-deposited, dry-developed metal-based material that they developed.  The early results a year ago looked promising, and the updated results this year look really good.  They have made a lot of progress in one year!  Could it be that Lam will beat the industry track record of requiring at least one decade to introduce a new resist platform?  It looks like Inpria has some competition.

Regina Freed of AMAT gave a nice keynote on etching.  I especially liked learning about some of the unique challenges of DRAM manufacturing.  The day ended with a very well-done tutorial talk about lithography’s endgame by Ralph Dammel.  After a resist-focused history of wavelength transitions (Ralph is a consummate resist chemist, after all), he suggests (perfectly correctly, in my opinion) that 13.5 nm will be our last wavelength.  This means that the end of lithography-based scaling is near, and non-scaling-based innovations in chip making (in particular, vertical scaling) will enable a continuation of Moore’s Law in a new way.  I couldn’t agree more, though I would add that alternate chip architectures, new materials enabling new types of chip components, and innovations in chip design will probably keep Moore’s Law going for quite a while as well.

All-in-all, this digital forum for Advanced Lithography went better than I expected.  Still, I’m looking forward to next year’s in-person version, perhaps with some of the best practices of this year’s version blended in.  We shall see.

SPIE Advanced Lithography Symposium 2021 – days 3&4

On Wednesday and Thursday there were several live events, and I went through many, many prerecorded talks.  There were three metrology keynotes.  The Samsung talk (on Tuesday, but I watched it later) was a broad overview of the challenges for metrology in the semiconductor industry (summary:  its challenging).  The KLA and Applied Material keynotes, while containing some interesting information, were mostly marketing presentations – not my favorite style for this conference.  The Novel Patterning keynote was given by a former lithographer that I was happy to see back at this conference – Mike Fritze, now at a Washington think tank.  He talked about the market for low-volume IC manufacturing, now dominated by the use of used equipment.  Since Moore’s Law scaling has dramatically slowed and will slow even more in the coming years, and since the latest generation of tools may be too expensive to operate at any time for anyone but the biggest volume fabs, will there be a market for special-built lithography tools (such as direct-write) to serve the long-term needs of a growing ASIC (application -specific integrated circuit) market?  Mike raised interesting questions, provided interesting speculations, and presented historical data in useful ways – a great talk.

Mike’s talk was interesting to watch just before Donis Flagello’s Optical Lithography keynote.  More than 10 years ago Nikon ceded the next-generation lithography landscape to ASML, then also lost considerable market share in 193-immersion tools.  What is Nikon’s growth strategy in lithography?  Donis showed us that it is mostly emerging new markets, either outside the semiconductor market or niches within the semiconductor industry.  Nikon has been working on an optical direct-write tool for some time (the so-called Digital Scanner), but also is getting into 3D manufacturing with a tool for additive and subtractive direct metal processing for both macroscopic and microscopic patterning.  The most interesting idea, for this community anyway, was a concept for a two-beam EUV imaging tool.  A combination of a grating phase mask and one-direction wafer scanning could produce sub-30nm pitch lines and spaces over an entire wafer.  The simplified optical design would have much higher optical transmission, enabling good throughput with only moderately ridiculous EUV sources.  At least in concept.  We shall see if Nikon will invest the HUGE amount of money it would take to bring a tool like this to market.

I presented what was called a live “tutorial and networking event”.  The topic was how to use the power spectral density to understand roughness (a prerecorded talk), and what made it a networking event was that viewers could turn on their cameras at the end and ask me questions directly, rather than through the Q&A feature of Zoom and relayed by the moderator.  I liked that format, and was surprised to find out that 20+ minutes for Q&A was not enough!  The second such event will be on Friday with Ralph Dammel, which I am very much looking forward to.

I attend both poster sessions for about 30 minutes each.  The same posters were available in each, and the two sessions (one morning, one late afternoon) were intended to enable Europe and Asia to participate in at least one.  They were very disappointing.  It is not because the software platform (Remo) did not work well – I actually liked it.  Each poster was set up at a virtual table, and attendees could move to any table, view the poster (as a “white board” that anyone at the table could point to), and interact with others at the table if they wanted.  This worked pretty well.  The problem was that very few of the poster authors actually showed up.  We can view the pasters any time we want on the digital library, so the whole point of the live poster session was to interact with authors.  None of the authors I wanted to talk to were there on either day.

There were a slew of good papers that I watched.  Jan Van Schoot gave a great overview of ASML’s progress on their high-NA EUV system (which was considerable).  Still, I find their timetable on deployment terribly optimistic, even given ASML’s considerable tool development prowess.  Eric Verhoeven described the NXE:3600 due out this summer, another useful and needed incremental advance of the core NA=0.33 EUV system.  Since the 250W sources have been out in the field for a while, and by all accounts working well, everyone is looking towards the next source power advance, possibly as high as 500W. 

There were many papers on EUV stochastic defectivity (a particular interest of mine).  So here is some blatant self-promotion.  Danilo De Simone in his talk on 28nm pitch single patterning with EUV showed CD and unbiased linewidth roughness measurements using MetroLER and said “There is a correlation between defectivity and roughness. This is also an important point to mention.”  The reason it is important is that roughness is easy to measure (with tens or hundreds of SEM images), but defectivity for a good process may require many thousands or millions of SEM images.  I authored or coauthored three papers this year, all of which I will claim are useful contributions to the field of stochastic measurements and their use (but I am biased, even if my measurements are not).  The paper by IBM that I coauthored (I only helped with some of the measurements) showed yet another example of how biased roughness measurements can produce incorrect trends and decisions as compared to unbiased measurements.

Have you ever heard of the Kullback-Leibler divergence?  Neither had I, but thanks to a paper by Zachary Levinson of Synopsys, I’m going to look it up.  Luke Long of UC Berkeley contributed nice simulation work on the impact of diffusion, development, and etch to the 3D mechanism of missing contact holes.  I also watched several good etch/patterning papers (helping to reduce, ever so slightly, my knowledge gap on that topic), covering selective deposition and atomic layer etch/deposition cycles.  These approaches can produce aspect-ratio dependent results, which enable healing of stochastic variations of line/spaces or contact holes.  Fascinating.  Nayoung Bae of TEL taught me about DRAM contact hole staggered array formation using crossed SADP or SAQP lines, and the multiple populations of holes that result.  Characterizing the stochastics of the lines and spaces helps to understand the LCDU of the resulting holes.

It was good, busy, and technically packed couple of days.  I’m looking forward to the final live events of Friday as the conference wraps up.

Musings of a Gentleman Scientist