Category Archives: Microlithography

Semiconductor Microlithography

The Beer-Lithography Connection

A friend and fellow lithographer, Garry Bordonaro, sent me a quote he had heard describing lithography:

“Photolithography is a mysterious mix of time-honored ingredients, chaotic chemistry, humble patience, and blind faith.”

I love it! Though I think there is more than a bit of science that gets thrown in as well, this description matches much of my experience over the last 25 years. Garry also thought that the quote was stolen from a beer label (how fitting!), so I did a little research. Sure enough, the essence of this quote can be found on some beers produced by the Magic Hat Brewing Company (www.magichat.net) of South Burlington, VT:

“The ancient ritual of brewing a distinctly rich and flavorful beer is nothing short of magic. Our mysterious mix of time-honored ingredients, chaotic chemistry, humble patience, and blind faith age into the secret brew we share in the rousing company of good spirits.”

Since I have shared both beer and lithography in the rousing company of good spirits, I find that I just can’t escape the beer-lithography connection.

Clarke’s Laws and Future Lithographies

The recent death of the great science fiction writer Arthur C. Clarke prompted me to recall his famous three “laws” (from the 1973 edition of his book of essays Profiles of the Future):

1. When a distinguished but elderly scientist states that something is possible, he is almost certainly right. When he states that something is impossible, he is very probably wrong.
2. The only way of discovering the limits of the possible is to venture a little way past them into the impossible.
3. Any sufficiently advanced technology is indistinguishable from magic.

The last law is a favorite in a world where very few of us have even the slightest idea how most of our essential gadgets work. But the first two laws I think are more interesting, and revealing.

In particular, the first law hits close to home for me. First, let us be clear what Clarke meant. He defined “elderly” in this context as any scientist over 30, or possibly 40 in some cases. Thus, I easily qualify as an elderly scientist, and some (who don’t quite know me well enough) might even regard me as distinguished. So I began to think about past pronouncements I’ve made as to what is “impossible” in the field of lithography. The most obvious category is next generation lithographies, where I have made many public statements of the kind “193 nm lithography forever” and “EUV will never work”. Could it be that I am a classic example of Clarke’s first law, and that I am “very probably wrong”?

I don’t think so. Let me explain why. First, I don’t think that EUV lithography is impossible. In fact, I am quite confident that the many smart people working on that technology will be able to demonstrate very high resolution with EUV and be able produce working high-end chips in the very near future. EUV lithography is not impossible, it is just uneconomical. Tool costs coupled with throughput (not to mention defects) will render EUV lithography fundamentally too expensive. The technology is certainly feasible, but the economic realities of semiconductor manufacturing are even more harsh than the realities of the limits of physics. The important question to our industry is not “Can you do it?”, but “Can you do it for a dollar?” EUV can’t, and in my expert opinion never will.

By the way, in 1999 Clarke added a fourth law: “For every expert there is an equal and opposite expert.” Keep that in mind when pondering my expert opinions on the fate of EUV lithography.

Aloha From the EUV Islands

Last week I attended the 2008 International Workshop on EUV Lithography on Maui. Many people who know me are perfectly aware of my opinions on EUV lithography (a doomed technology), and thus may wonder why I was attending an EUV workshop. Did I mention it was on Maui?

Actually, my current primary research interest is line-edge roughness (most likely the ultimate limiter of resolution for optical lithography), a topic of great interest to the EUV community (since it is killing them). I gave a talk at the workshop and presented a full-day course on line-edge roughness the day before the workshop began. And I had some very useful discussions on the topic with various other attendees – that’s the point of a workshop, is it not? I also got the chance to tell everyone during a panel discussion that EUV would never make it to high volume manufacturing (I have a habit of stating the obvious, even if no one else does). All in all, great fun.

The workshop was organized by Vivek Bakshi, who was recently “redeployed” (laid-off) by SEMATECH, along with all the other SEMATECH lithographers in Austin who couldn’t stomach a move to Albany, NY. Since SEMATECH’s main product is workshops, it is not surprising that one of their excess minions would start up a business (Vivek has called his EUV Litho, Inc.) to compete. SEMATECH was not amused. They made it very clear that all suppliers receiving SEMATECH money would not participate in this renegade conference. As such, the meeting became more academic and international, with virtually none of the “we’re on track, trust us” talks that the tool vendors always give at similar SEMATECH meetings. And besides, it was on Maui.

And I still am. That’s why this post is almost a week late. I’ve acclimated to island time – what’s the hurry? I brought my wife and two daughters, as well as my parents, who celebrated their 50th wedding anniversary on Sunday. Life is good. In fact, I am now sitting on the balcony of my room overlooking the ocean drinking a coconut porter from Maui Brewing Company. From a can. Life is good, but not perfect.

The 3-Beams Conference, day 2

Day 2 of the 3-beams conference saw many more good papers (and one exceptionally bad one – the other people in the room know which one I am talking about). I was especially fascinated with the work on metamaterials that can produce a negative refractive index. Such materials, which have been demonstrated in the past in the microwave regime, tax the scientific imagination and have led to many popular claims such as the possibility of making planar “superlenses”, invisibility cloaks, and other such sci-fi oddities. Unfortunately, it seems that the definition of what it takes to show negative refraction is slowly expanding to encompass phenomena that, while admittedly very interesting, might best be explained in another way.

Ivan Lalovic of Cymer gave a god paper on the influence of speckle on line edge roughness – a topic that needs attention. I also saw some papers on molecular glass resists, and I become more skeptical of this class of materials each time I hear about them.

At the end of the day, we all loaded up into buses and went to the Portland Zoo for the conference banquet. We were quite fortunate with regards to wind direction, otherwise appetizers and cocktails next to the elephant pens could have been very unpleasant. The dinner ended with the 14th annual micrograph contest – a fascinating blend of science and art. Past years’ winners can be found at www.zyvexlabs.com/EIPBNuG/uGraph.html, and this years winners will be posted soon. These pictures definitely confirm the site’s slogan that “A good Micrograph is worth more than the MegaByte it consumes.”

The 3-Beams Conference

Greetings from the 3-beams conference, in drizzly Portland, Oregon. The official title is the 52nd International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication (EIPBN), but for obvious reasons it is more commonly referred to as the three-beam or triple-beam conference. This conference is not strictly about lithography, but it has a strong lithography component (maybe 50%), so it is definitely within my bailiwick.

Unlike the SPIE Advanced Lithography conference, which I have posted frequently about in the past, the 3-beams conference is research-oriented. There is far more participation from universities than from industry. And while less of the papers here are likely to be immediately relevant to my work, I enjoy stretching the boundaries of what I know by hearing about the far-out stuff people are doing (how about this for a paper title: Eigen Mode Analysis of Plasmon Resonances in Nanoparticles). I always come away from this conference inspired in an unexpected way.

As one would expect, attendance here is much smaller than the mighty SPIE Advanced Lithography conference – somewhere around 500 people I think. The plenary session had a great talk on possible ways to achieve higher efficiencies in solar cells using quantum dot arrays, and another that used “lithography” to move around individual atoms to build a very, very small transistors (overlay turned out to be a big problem). The afternoon session on line edge roughness was very good, since that is my current problem of interest.

I had a poster in the evening, and that’s when things got ugly. The various organizers of this conference have threatened for years to shove me off into a dark corner, but this year they actually did it. My poster was in such a cramped space that only one person at a time could get back there to look at it, and even then they couldn’t see it because of a lack of light (a statement, no doubt, that one of the three beams is less important to the powers that be than the others). If my poster had been any good and thus worth seeing, I would have been upset. But still, having stayed up far too late the night before to try to finish it, I would have enjoyed at least being able to see the finished product.

I consoled my not-very-bruised ego by going out to dinner and having some great Portland microbrew beer and seafood. It doesn’t take much to put me in a good mood.

Coming up next: more papers, and the conference banquet at the Portland Zoo.

The SPIE Advanced Lithography Symposium – Day 5

When Friday morning of SPIE week rolls around, it feels like my brain is completely full. Even the half-day of conference left seems too long. In the Optical Lithography conference, Friday morning is traditionally the “tool” session, and the first tree talks were by Nikon, Canon and ASML giving their roadmap status reports. While the topics were interesting, I found myself fascinated instead with a different lesson they were teaching me: How to Lie with Graphs. Much of the data was of the sort to show how some parameter was either very high (uptime), or very low (overlay error), or very stable (immersion fluid temperature). To “enhance” the desired impression of high, low, or unchanging, the range used for the y-axes of graphs can be properly manipulated. So, if defect densities range from 0.05 to 0.11 per square centimeter, make the graph go from 0 to 0.3. Thus, all the number seem low. For uptime, with numbers ranging from 85% to 95%, make the graph got from 0 to 100 so that all the results seem high (you can also use a bar chart so it is not as obvious that the bottom 80% of the graph is unused). But my favorite is the CD uniformity wafer contour plot. If the data has a mean of 40 nm and a three sigma of 2 nm, make the contour range go from 32 nm to 48 nm so that only two or three contour colors are actually used, making the wafer data seem smooth as silk. Common guys – you should know better than that.

I am glad that I stay through most of the morning, though, because I saw my favorite paper of the conference. Lieve Van Look of Imec gave a great talk on matching scanners to enable their use for a given OPC’ed mask. A tremendous amount of work was shown, with clear analysis and well supported conclusions. Good work.

The SPIE Advanced Lithography Symposium – Day 4

In any symposium with as many papers as this one, there are bound to be some very good papers, and some not-so-good papers. Thursday was the day I saw several not-so-good ones. The problem was a common one: the author gives a paper not realizing that essentially the same paper was given at this conference several years ago by someone else. It’s inevitable, given that we have now over 650 papers published in the proceedings of the various conferences of this symposium, and the total number of papers published over the years just at this symposium has to be approaching 10,000. It’s inevitable, but still it should be rare. Given that good on-line search tools are now available on the SPIE website, it is usually not that hard to find and read previous papers on the same topic as one’s current work. The number of redundant papers should be much smaller than it currently is, so I suspect that most authors (and I am sometimes guilty here as well) are being lazy and not doing the literature search that is demanded of anyone that wants to publish a good paper.

This year, I had to do something I have never done before – I withdrew my paper from the conference at the last minute. It was a poster paper, so the disruption to the conference was minimal. Still, I am disappointed in myself. I think many authors have faced similar dilemmas: when submitting an abstract in August, predict what data will be available and what work can be done by the next February. It’s easy to guess wrong, and often the final paper is much different from what was envisioned (and described) in the original abstract. For me, the problem was this: I didn’t do the work required to make this paper sufficiently distinct from a previous publication on which this one was to be based. Such incremental papers are common, and it is the responsibility of the author to ensure that there is enough new to justify an additional publication. I could have published something that was just a little different from my past paper, but I knew I would have been wasting the time of any potential reader. Pulling a paper at the last minute is not good, but publishing a paper that doesn’t deserve to be published is far worse.

The evening ended for me on a very special note – good, but sad. About 50 lithographers gathered at Gordon Biersch and at 9pm raised a glass of beer in honor of Jeff Byers. At many other restaurants and bars around town, other lithographers were doing the same thing. We miss you Jeff – you are gone but not forgotten.

The SPIE Advanced Lithography Symposium – Day 3

My favorite phrase of the conference: “Double half-pitch”. Now, one might think that this was just a silly way of saying “pitch” (and as we found out last year, the pitch is not necessarily twice the half-pitch), but no. The speaker meant “quarter-pitch”, as if “half” was a mathematical operator rather than a fraction. Even scientists and engineers, trained in precision, can become experts at obfuscation.

The Advanced Lithography Symposium is cyclic. Every few years, some good idea or ideas capture the imagination of the community. These are “innovation” years. Then, for the next several years, people work out the details as they either embrace, or reject, those ideas. Such “development” years are more common, and often result in industry consensus around various technologies. This year seems to be a development year. Double pattern is looking more and more practical, and certainly the memory makers have already decided to use it. Logic makers are still holding out hope for high-index materials and higher numerical apertures. LuAg is making progress, but absorbance is still an order of magnitude away from its target. The last order of magnitude improvement is always the hardest (something I think the EUV folks will soon learn), so the use of LuAg is not a forgone conclusion. Second generation fluids seem to be becoming practical, though third generation fluids look even further away than I had expected. “Development” years are not as exciting as “innovation” years at this conference, but that’s OK. Too much excitement can be a bad thing.

My biggest fear for continued lithographic progress remains line-edge roughness. Progress in understanding LER is far too slow for my likes. I’m surprised and disappointed in the limited attention that this problem is receiving compared to tool development issues.

A Reminder: At 9pm on Thursday, friends of Jeff will raise a glass in his honor. If you are at Gordon Biersch, we’ll do it together. If not, please do it wherever you are.

The SPIE Advanced Lithography Symposium – Day 2

This was a day of full technical meetings. In the morning I sat in on the optical lithography conference where I saw better-than-expected progress on double patterning. I was particularly impressed with the quality of the litho “freeze” images. In the afternoon I sat in on the resist conference, where I was particularly unimpressed with the lack of progress in the understanding of line edge roughness. This is not a good sign.

I didn’t attend the EUV session of the emerging conference, though I saw the crowd of people flowing out of the door. Several people asked me what I thought of the AMD/ASML/IBM paper showing a working device with a layer made using EUV lithography. Since I didn’t see the paper, I couldn’t comment on it, though I was immediately reminded of a paper I saw many years ago, where IBM demonstrated a device with one critical layer imaged with proximity X-ray lithography. Shortly after that device demonstration, IBM canceled their X-ray program.

In the early evening, there was a panel discussion called “Future Projection Lithography: Optical or EUV?” Since I already knew the answer, I skipped the panel and went straight to the hospitality suites.

The hospitality suite scene seemed subdued this year. Everything was low-key (and occasionally dead) at normally hoping parties. Still, it was nice to wander around and socialize – one of the key benefits of this symposium. I ended the evening at the KLA-Tencor “bathtub” party put on by the PROLITH team. Good times, and good memories evoked. Packing it in at 11pm, I tried not to think of the 7am breakfast meeting I had scheduled for the next day – that’s life at SPIE.

The SPIE Advanced Lithography Symposium – Day 1

I’ll begin on a serious note. This past year saw the passing of a great lithographer and good friend, Jeff Byers. His tragic death in November (due to injuries sustained in an auto accident) is still a shock to me. So I’m starting a viral campaign: anyone who feels a need to take a moment to honor Jeff’s memory, let’s meet at Gordon Biersch on Thursday, and at 9 pm raise a glass for him. I think he would have done the same for me.

The Symposium began with the awards before the plenary talks. The Frits Zernike prize was awarded to Martin van den Brink of ASML (way to go, Martin!), followed by the promotion of a record 8 lithographers to the rank of SPIE fellow. There were 21 lithography fellows before, so this is a big increase.

All three plenary talks were reasonably good – something that rarely happens. Here are my favorite quotes:

“ Lithography choices are critical and dangerous” – Mark Durcan, Micron
“Shrink is good.” – Martin van den Brink, ASML
“Designers need freedom from choice.” – Andrew Kahng, UC San Diego

With the start of regular talks, the exercise began. I raced back and forth between the resist and metrology sessions all day – probably 200 yards apart. My two big take-aways for the day: 1) third-generation high-index fluids (n > 1.8) may never have low enough absorption, and 2) metrology folks my know how to build metrology tools, but their analysis of data is relatively crude (and they are proud of it).

During the resist session, Ralph Dammel made a very nice tribute to Jeff Byers just before a paper in which Jeff was a co-author. Thank you, Ralph.

The Monday evening poster session was a mess as always. Too many people in a too-small space meant it was virtually impossible to visit even a small fraction of the posters. But as an experienced poster maven, I was prepared. I went through the posters an hour before the session opened and just dropped my card at any poster that looked interesting. I’ll read the papers when the authors email them too me. Then the poster session became a social event – something it works well as.