SPIE Advanced Lithography Symposium 2017 – day 2

I write my posts the morning after that day of the symposium. And today definitely feels like a “morning after”.  Two days of late nights at the hospitality suits followed by far too little sleep are beginning to have their effects.  Let’s see if adrenaline and desire can carry me through the rest of the week…

For those reading this blog who do not attend the SPIE Advanced Lithography Symposium, let me explain that there are seven conferences as a part of the symposium, and there are always at least five sessions happening in parallel (Wednesday morning will see all seven).  There is almost always more than one paper at any given time that I want to see, but all of my attempts at quantum entanglement with a doppelgänger have led to decoherence.  (Yes, that is the ultimate in bad nerd humor.)  Be aware that my extremely limited sampling of the symposium does not begin to do it justice.

For me, the day started with ASML’s talk on their new NXE:3400 EUV scanner, soon to be released.  As a bit of history, the NXE platform was introduced to us at this symposium in 2010.  The NXE:3100 was a “pre-production” tool, described in this way:  “With an NA of 0.25 and a productivity of 60wph this tool is targeted for EUV process implementation and early volume production at the 27nm node.”  But the NXE:3300 was to be the true production tool, targeted at 125 wph and the 22nm node.  As we all know, the 3300 missed its window for use in production, but the much improved NXE:3350 soon become the target production tool.  Since there was an upgrade path from the NXE:3300 to the NXE:3350, there was still a chance for those first 3300s to be used in production.  But after listening to Intel’s Monday talk, I am getting the impression that all the existing tools in the field are playing the original role of the original 3100.  It is the NXE:3400 that is now the targeted tool for high volume manufacturing.  It has many improvements (such as the Flex-illuminator and a membrane just above the wafer that blocks unwanted out-of-band radiation), with throughput again targeted at 125 wph.

A quick word about throughput.  Since throughput is a function of the dose used to expose the resist, and this dose is decided by the customer, ASML must make some assumption about the dose in order to specify the throughput of their tool.  In the very early days of EUV development (15 years ago), many people hoped for a 5 mJ/cm2 sizing dose.  That dream quickly relaxed to the more realistic (but still unrealistic) 10 mJ/cm2.  The throughput specs for the NXE:3100 were based on this assumed dose.  But since pattern quality improves with higher dose, the production spec of 125 wph for the NXE:3300 was based on a dose of 15 mJ/cm2.  Since then, the unforgiving onslaught of stochastic randomness brought a concession by ASML to a dose of 20 mJ/cm2.  This is now the assumption used to predict a 125 wph throughput for the NXE:3400.  This dose is also a function of the mask level being printed, with contact holes, vias, and cut masks requiring more dose (maybe twice as much, possibly more).  Since I don’t think that a dose of 20 mJ/cm2 is remotely possible due to roughness effects, significant downward scaling of the true throughput from the specified value is inevitable.

I enjoyed Tim Brunner’s paper on how to intelligently determine roughness specifications (but as a co-author, I am certainly biased).  The old ITRS specifications for linewidth roughness, useful in their day, and now rightly ignored as both irrelevant and unachievable.  Tim’s results, though, are scary.

I know that I exhibit selection bias, since I seek out the papers that deal with roughness and stochastic effects, but is seems that stochastics are everywhere at the symposium this year.  From linewidth control specifications to edge placement error, stochastic effects are almost never ignored anymore and often are admitted to be the dominant source of error in the lithography process.  After years of complaining that roughness was not getting the attention it deserved, that no longer seems to be a problem.

At the resist conference (Advances in Patterning Materials), the theme was often better roughness through chemistry.  Or if we don’t have the chemistry ready, it is often better roughness through cartoons of the chemistry.  Let me explain a test that I use when examining proposed solutions to stochastic-induced roughness:  If I don’t understand how it works, I don’t believe it.  Granted, this convolves skepticism with my own quite considerable ignorance, so I have to continually try to find my own errors in thinking and be open to being convinced.  Some ideas that fall into the “don’t understand, so don’t believe” category include PSCAR and second-order deprotection kinetics.  I hope to be convinced (preferably with good LER data).

We are half way through the technical conferences.  I have two more papers to give, and many more to listen to.

One thought on “SPIE Advanced Lithography Symposium 2017 – day 2”

  1. Hi Chris,

    What do you think of metal oxide EUV photoresist? Based on shot noise, the number of photon on a 1nm2 is in the single digit. Will metal oxide EUV photoresist photon absorption factor be able to increase it to the level of 193i?

    Thanks,
    Vinh

Leave a Reply to Vinh Cancel reply

Your email address will not be published. Required fields are marked *