SPIE Advanced Lithography Symposium 2016 – day 3

On Wednesday morning I again went to see resist talks, but this time in the EUV conference (which is more than a little confusing, but I’m glad I don’t have to work out the details of which paper goes in which conference).  Anna Lio of Intel gave a very nice talk entitled “EUV Resists: What’s Next?”  At the beginning of her talk she repeated the ASML marketing line about the HVM introduction of EUV:  “It’s a matter of when, not if.”  But that statement misses the whole point.  When is a matter of if.  If EUV continues to be delayed, it will very quickly reach the point of not being viable commercially.

That nit aside, it was a great pleasure to here Intel so emphatically promote a stochastic world view when it comes to EUV resists and their performance.  Here is some of what she said:

“Think stochastics first.”

“We need new ideas and new resist platforms for stochastics.”

“Stochastics will rule the world.”

She said that not significantly improving over today’s performance of stochastic-driven local CDU, local edge placement, and roughness is a “deal breaker” for EUV.

I only hope that the audience really listened and absorbed this message.  She had a tone of frustration in her talk that the industry has not taken these ideas sufficiently seriously (I empathize – I only wish that Intel and other EUV customers had preached that message ten years ago).  A reason for that frustration could be found in the next paper where SEMATECH provided historical data of EUV resist performance on a combined metric of resolution, sensitivity, and LER showing that there has been basically no improvement since 2012.  This is not good.

My frustration was extended to the next talk, where I heard again from Japan’s EIDEC (EUVL Infrastructure Development Center) on their “metal resist”.  First, they refuse to say what metal is in their resist.  This knowledge is absolutely necessary, in my opinion, before deciding to take this resist seriously.  Also, they have repeatedly claimed that their resist has both high sensitivity and low LER, but all of their results show either high sensitivity or low LER, but never both.  There is disconnect between their marketing and their data.

The next set of authors, from TOK, had no need to read my post from yesterday where I explained that high resist contrast is a necessary condition for reaching the lowest possible LER at a given dose and feature size.  Their paper was all about how to combine stochastic thinking with conventional resist thinking about high contrast.  I hope the new resist developers were listening to this veteran company.

Togawa-san of Osaka University talked about acid amplifiers and how they might be able to reduce the effects of stochastic variation.  He finally gave an explanation for how acid amplifiers might achieve this that makes sense.  Acid amplifiers essentially multiply the acid concentration by some factor, allowing a lower exposure dose.  Since the acid amplifier achieves higher acid levels, more quencher can be added to the resist formulation (which otherwise would have an unacceptable impact on sensitivity).  The higher quencher levels lead to greater gradients of deprotection levels (or effective acid levels).  But these acid amplifiers can, at best, act like a normal amplifier:  amplifying the noise as well as the signal, plus adding its own noise source as well.  Thus, the relative acid uncertain will go up.  The real question is whether the higher chemical gradient can compensate for the higher acid uncertainty.  The experimental data is ambiguous.  Looks like a place where rigorous modeling could help.

My final comment on the EUV resist talks is about Roberta Fallica of the Paul Scherrer Institut.  This was his first talk at SPIE, and it was a fantastic one.  Not only did he show very good measurements of resist absorption at the EUV wavelength (a difficult thing to do) compared to calculated values, but he proposed a novel way of interpreting their importance.  He described the inverse of the absorption coefficient-dose to clear product as the volume of resist cleared by one absorbed photon.  I’m still trying to wrap my brain around that idea, but it is definitely worth thinking about.

Outside of the resist world, I enjoyed a talk by Andrew Burbine, an RIT student working with Mentor Graphics.  He discussed and implemented the idea of using Bayesian statistics to improve OPC model calibration.  It gave an excellent tutorial on the idea, and provided an initial validation of its value.  This looks like an idea worth pursuing.

In the afternoon Juan de Pablo of the University of Chicago gave an excellent invited talk on directed self-assembly (DSA) modeling.  An while there was a time conflict, I caught half of an invited talk by David Pan (my University of Texas colleague) on how shrinking standard cells makes accessing them (through connections called pins) increasingly difficult.  Thus, we often don’t get the area size benefit from the shrink that we expected.

My favorite quote of the day (heard at a hospitality suite):  “When you take a picture without light, don’t blame the film.” – John Biafore.

On a different topic, someone attending this meeting for the first time asked me why there were so few women here.  This is not a new comment.  While those of us who have worked in the industry and attended this meeting for many years may be used to it, from an outsider’s perspective the lack of gender diversity at the Advanced Lithography Symposium can be jarring.  It is pervasive, from the conference leadership and ranks of SPIE fellows to the speakers and attendees.  It is also true at other lithography meetings that I attend, and I think in the semiconductor workplace as well.  What is it about lithography and the semiconductor industry that attracts so few women?

Finally, since the topic keeps coming up, let me say this:  my Lotus is safe and secure in my garage.  Vivek Bakshi and I resolved our bet on EUV lithography last year, and you can read about it here.

4 thoughts on “SPIE Advanced Lithography Symposium 2016 – day 3”

  1. Thank you for this great blog and excellent summary of SPIE 2016!

    Did you see anything outstanding at the exhibition – if you had the time to visit ?

    Any thoughts about Solar-Semi Gmbh – exhibited at Booth #327 ( http://www.solar-semi.com/ ) and their merger with swedish Obducat ?

  2. What do you think about mask damage after 40,000 wafer exposure?
    They also showed wafer defects show Ru particle.
    Only source of Ru is capping layer of ML mirror.
    Combine two factor possibly means mirror have damage.

    Next why anyone have pellicle life test only for 200 wafers?
    Any reason not 1000s? This means they expected life of EUV pellicle they made should be a little above 200wafers.
    Typical life of DUV pellicle is more than million wafers.
    If I need to replace pellicle for every 10000 wafer, I would not consider it.

    EUV power is now approaching to target at Cymer. Still it is several times lower than target in the field. This does not means EUV is ready but they will find more problems.
    Now we just opened onion net and started to feel dried skin of onion.

  3. Chris – Thanks for the great summary of SPIE. I appreciate your efforts each year – especially the years that I can not attend.

  4. Chris- excellent write-up. over the years, you have been a great contributor to all things advanced lithography and I have to say I appreciate it. I have not always liked your approach, but have always respected your position.

    Regarding your comments regarding the presence of women at every level of SPIE; SPIE is and has continued to be an “old boys club’ .
    There is an obvious deficiency in cultural and ethnic diversity too which can be seen at the various companies and at the conferences. Unfortunate but true.
    Kudos to you for pointing it out; not sure how much difference it will make.

Leave a Reply to anonymous Cancel reply

Your email address will not be published. Required fields are marked *