SPIE Advanced Lithography Symposium 2016 – day 1

At 8 am on Monday, the conference begins with opening remarks and the plenary session.

Bill Arnold and Harry Levinson won a “Special Contribution Award to the Art and Science of Lithography” for their two-part paper “Focus: the Critical Parameter for Submicron Lithography” published in 1988.  I read and cited those papers frequently over the years, and I still recall the clarity of their arguments and the insightfulness of their approach.  Without a doubt, these were milestone papers in the development of modern microlithography thought and practice.

Dr.  Andreas Erdmann of the Fraunhofer-Institut für Integrierte Systeme und Bauelementetechnologie IISB (Germany) became our newest fellow.  Kurt Ronse of Imec was also promoted to that rank, but he was unable to attend the symposium this year and will receive his recognition at a later conference.

The symposium awards were completed when Yan Borodovsky, recently retired from Intel, become the 13th Frits Zernike Award winner.  Congratulations to all of them!

Some years, the plenary speakers are chosen from outside the lithography community to bring perspective and breadth to the opening of the symposium.  This year, we heard from three of our own.  Harry Levinson of GlobalFoundries gave an historical perspective on research and developments in lithography.  He mentioned the low uptime (60-70%) of early excimer lasers and the immaturity of deep-UV resists (especially sensitivity to airborne contaminants) as motivations for the extension of i-line lithography in the early 1990s.  The obvious analogy to EUV lithography was left unstated.  Two good quotes from Harry’s talk:

“Computer programming became a required skill for leading-edge lithography” (discussing the importance of computational lithography).

“Issues at the molecular scale will need to be addressed to realize the optical resolution “entitlement” of EUV lithography.”

Richard Gottscho, EVP of Lam Research, discussed deposition and etching and how those technologies will evolve to improve control in the age of multiple patterning.  In particular, the move towards atomic layer deposition (ALD) and now atomic layer etching (ALE) are greatly improving uniformity and control, though at the cost of processing speed.  These processes work by saturating the wafer with a monolayer of reactive species, which then is reacted to produce the deposition or etching.  This saturation is self-limiting and so removes many process variables from being significant factors in the process rate, easing both the process development and process control burdens.

Tony Yen of TSMC gave a very nice historical perspective on the development of EUV, one that he believes has put EUV lithography on the “eve of manufacturing”.  The very first demonstration of EUV lithography (called soft x-ray lithography until 1993) was by Hiroo Kinoshita in 1986, followed soon by Obert Wood and his many collaborators at AT&T Bell Labs.  Significant government and industry funding began in 1992 and the EUV LLC was formed in 1997 to pool the growing industry and government efforts in EUV.  With the completion of an important prototype tool, the 0.1 NA Engineering Test Stand, development work on the exposure tool shifted to ASML.  They produced their alpha-demo tool (ADT) in 2006, the NXE:3100 in 2011, and shipped the NXE:3300 in 2013.  Tony finished his historical description by saying that their first NXE:3350 has recently arrived at the TSMC loading dock.

As for the current status of EUV lithography at TSMC, Tony confirmed that the plan of record is to exercise EUV at the 7-nm node and use it in production at the 5-nm node.  The remaining problems include mask blank defectivity (currently about 20/blank, too high to use for metal patterning, but maybe OK for contact holes) and the still unproven pellicle solution.  Resist sensitivity in now closer to an acceptable range (between 25 and 30 mJ/cm2 for lines and spaces and between 35 and 40 for contact holes), but with unacceptably high linewidth roughness (LWR).

The invited talks at the EUV lithography conference gave some further perspective from Intel and Samsung on the readiness of EUV for high volume manufacturing (HVM).  Apparently, one of the big issues last year was the reliability of the tin droplet generator, part of the EUV light source.  Both Intel and Samsung were very pleased about progress on that front, so that source reliability has reached 70%, enough to do real engineering work with the tools, though not enough for manufacturing.  Intel reported end-of-line yield loss due to particles added to the mask during use.  They saw an average of one killer defect added per 20 reticle-stage-load cycles, a level that makes manufacturing impossible without a pellicle.

Seong-Sue Kim also reported on the mixed successes and failures of EUV at Samsung in the last year.  They reported 3 particle adders on the mask for every 10,000 wafers printed, a number too high by an order of magnitude at least.  But more disturbing was his report of mask damage after 40,000 wafer exposures using the 80W source.  Blisters formed within the mask multilayer, some of which popped.  Not only was the mask ruined, but contamination travelled through the optical system and made its way to the wafer.  I worry that such chemical reactions induced by the energetic photons of EUV light will behave nonlinearly with intensity.  How bad will this become when using a 250W source?

On the resist front, everyone is talking about metal oxide resists for EUV.  For many years now, Inpria has used metal oxides as an EUV resist that could deliver high resolution and low LWR, but at doses of 80 mJ/cm2 – too high to be practical given the realities of low EUV source power.  The push to get sensitivities of these resists into the 30 mJ/cm2 range has now been successful but, surprise!, the LWR is much worse.  It seems that all attempts to defy the laws of physics through chemistry continue to be unsuccessful.  Yet, since we do not have a complete understanding of all aspects of LER formation, the physical limits of roughness are unknown.  If other problems can be solved, metal oxide resists may be the way to go.

Indulge me, if you will, on another rant.  Thirty years ago I would come to this conference and see papers by resist companies that all had a familiar pattern:  here is our new material, here is a cartoon of the mechanism of why it will work, and here are one or two SEM images of high resolution patterns.  Success is then claimed.  What we learned painfully over time is that high resolution demonstrations of a material are a necessary but not sufficient condition of success.  The reason is the simple fact that a very good aerial image can produce a decent image in a mediocre resist.  The projected image maters!  So how do you know if your material is any good?  You have to consider the development contrast of the resist and how it affects process latitude.  A high image contrast can produce a good single image in a low contrast resist, but cannot produce good exposure latitude.  One needs to compare exposure latitude (or better yet, the focus-exposure process window – see the mention of the Arnold and Levinson papers above) to the entitlement process latitude (that which could be obtained from an ideal, infinite contrast resist), or at least to the current resist of record.  This lesson was learned and over the next 20 years resist contrast was systematically raised until it become sufficiently high.  Today, we almost take high resist contrast for granted (at 193 immersion, at least).

It seems that this lesson has been forgotten.  Have we experienced high-contrast resists for so long that we have forgotten how a low-contrast resist behaves?  Have we forgotten how to measure or characterize resist contrast?  I almost never see a process window for an EUV resist.  I never see a comparison of the exposure latitude to the NILS (or the best exposure latitude possible).  People compare resists printed at different numerical apertures without considering the differences in the aerial images that exposure them, or don’t even mention the conditions at which the patterns were imaged, as if a 20-nm pattern is a function of the resist alone.  We need high sensitivity EUV resists.  We need low LWR.  But we also need high resist contrast.  Let’s start measuring and reporting that, please.

One of my favorite quotes of the day: “I never thought they would discover gravity waves before EUV made it into manufacturing.”  – Kenneth Goldberg (Note:  over $1B was spent over 40 years on the gravity wave effort.)

And my favorite mixed metaphor: “We have only scratched the tip of the iceberg.” – Alex Vaglio Pret

One thought on “SPIE Advanced Lithography Symposium 2016 – day 1”

  1. Hi Chris, thanks for your excellent reporting on the SPIE Microlithography Conference. For those of us – in Germany – who cannot make it to California, it keeps us up to date.

Leave a Reply to Brian Raynor Cancel reply

Your email address will not be published. Required fields are marked *