SPIE Advanced Lithography 2014 – day 1

At the plenary session, it was great to see Mordy Rothschild of MIT Lincoln Labs win this year’s Frits Zernike award. Mordy and his team (he was keen to ensure that his entire team was recognized as well) made early and critical contributions to almost every element of 193-nm lithography development, including the development, with SVG Lithography, of the first full-field 193-nm scanner in 1994. (In fact, Mordy told me that his principle contact at SVG Lithography was Frits Zernike, Jr.) After 193-nm lithography went mainstream he and his team worked on 157-nm lithography. They also made the first demonstration of immersion lithography at 157-nm, and at 193-nm, validating Burn Lin’s ideas. It is ironic that these demonstrations eventually lead to the death of 157-nm lithography. But that is the way of science and technology development: good ideas are cannibalized by better ideas.

Frank Abboud became our community’s latest SPIE fellow. Congratulations to Frank, but shame on the rest of us not nominating more of our worthy colleagues.

Bill Arnold, outgoing president of SPIE and a lithographer who has attended even more Advanced Lithography conferences than I have, gave the first plenary. I enjoyed learning more about SPIE, and seeing the pictures of Bill’s year travel the world to meet many of the 18,000 SPIE members.

Joe Sawicki of Mentor Graphics gave a nice talk on the intersection of electronic design automation and lithography (or should I say, the many intersections). He gave me my first favorite quote of the conference: “Systematic defects often start off hiding as random defects.”

The final plenary presentation was the excellent talk by Dr. Akihisa Sekiguchi of Tokyo Electron Ltd on Integrated Patterning Solutions. I enjoyed the content, style, and tone of the talk. He stated the obvious, but something that we lithographers need to hear more often: Lithography-enabled scaling came to an end a few years back. Today, scaling is patterning-driven. He showed how low 193-nm linewidth roughness (LWR) has become (less than 2 nm, though I don’t trust anyone’s numbers ever since I began studying LWR metrology). He also gave a hint of an important result we should expect to see more of during the week: directed self-assembly (DSA) defect density has decreased by a factor of 100 in the last year. Wow. The tone of Sekiguchi-san’s talk was appropriately Zen-like, a lesson most lithographers should take note of during such chaotic times. I’m glad I chose to attend his talk instead of the Dali Lama’s, who was speaking at the same time in the next town over.

The technical session began in earnest with a bevy of invited speakers (alas, I could attend only one). I went to see Jack Chen of TSMC give a refreshingly honest update of the progress and challenges of moving extreme ultraviolet (EUV) lithography towards manufacturing. Although he said the “we still have a dream to simplify the process using a small wavelength”, he made it clear that the “expensive and large program” of EUV is behind schedule. Their first NXE:3300 was installed late last year using an older source. Afeter insuring that the scanner itself was working properly, they began the upgrade process to a MOPA + prepulse source, with a “target” source power of 80 W, though the initial install will give them only 30W at intermediate focus. The tool is still down and so have not yet printed any wafers using the new source. Chen also exhibited a little frustration with source power roadmaps, showing that the promise “we’ll have 100 Watts in 1-2 years” has been given every year for over 10 years.

The second important aspect of Chen’s talk was on mask defectivity. He showed that multilayer defects on the mask cannot be repaired, so that the only choice is to get mask blank defect levels below 20 defects/blank. We are not there yet. More importantly, “fall-on adder defects” have not been eliminated. Using a mask in the EUV scanner results in defects added to the mask over time. Chen did not seem confident that these defects could be eliminated, and said that a pellicle was needed.

Mark Phillips of Intel then gave a talk about the possibility of using EUV lithography as the cut lithography tool in a complimentary lithography scheme. I liked his statement (again obvious, but something we need to hear) that reduced LWR during EUV lithography must come from higher source power, not a “miracle resist”.

In the afternoon I was intrigued by a talk by A. Oshima of Osaka University showing a positron spectroscopy technique that could measure free volume in a resist. I hope to see much more measurements from this technique in future papers. And finally two talks, by Jim Thackeray of Dow and Peter de Bisschop of Imec, showed how much we still don’t understand about pattern failure caused by stochastic effects (that is, extreme LWR). Both pointed to the development step as needing more fundamental understanding – a conclusion I wholeheartedly endorse.

I was glad to be feeling better today after feeling so miserable the day before. Still, I was not able to fully enjoy the evening hospitality suites. I had only one beer and six pieces of sushi before going to bed.

Leave a Reply

Your email address will not be published. Required fields are marked *